CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl hour

搜索资源列表

  1. VHDL-ysw

    0下载:
  2. 基于CPLD的棋类比赛计时时钟,第一个CNT60实现秒钟计时功能,第二个CNT60实现分钟的计时功能,CTT3完成两小时的计时功能。秒钟计时模块的进位端和开关K1相与提供分钟的计时模块使能,当秒种计时模块计时到59时向分种计时模块进位,同时自己清零。同理分种计时模块到59时向CTT3小时计时模块进位,到1小时59分59秒时,全部清零。同时,开关K1可以在两小时内暂停秒钟计时模块,分钟计时模块和小时计时模块。各模块的VHDL语言描述如下:-CPLD-based time clock chess c
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2716
    • 提供者:杨仕伟
  1. CLOCK

    1下载:
  2. 文通过ALTERA公司的quartus II软件,用Verilog HDL语言完成多功能数字钟的设计。主要完成的功能为:计时功能,24小时制计时显示;通过七段数码管动态显示时间;校时设置功能,可分别设置时、分、秒;跑表的启动、停止 、保持显示和清除。-Through the ALTERA company quartus II software, using Verilog HDL language to complete the design of multi-function digital
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:182531
    • 提供者:张保平
  1. clock

    0下载:
  2. 描述了24小时计时的数字钟,同时具有分秒计时的功能-Described a 24-hour digital time clock, at the same time every minute timer function
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:11319
    • 提供者:金珊珊
  1. clock

    0下载:
  2. 电子闹钟 clk: 标准时钟信号,本例中,其频率为4Hz; clk_1k: 产生闹铃音、报时音的时钟信号,本例中其频率为1024Hz; mode: 功能控制信号; 为0:计时功能; 为1:闹钟功能; 为2:手动校时功能; turn: 接按键,在手动校时功能时,选择是调整小时,还是分钟; 若长时间按住该键,还可使秒信号清零,用于精确调时; change: 接按键,手动调整时,每按一次,计数器加1; 如果长按,则连续快速加1,用于快速调时和定时; hour,
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:2278
    • 提供者:阿飞
  1. Time

    1下载:
  2. 24小时时钟设计程序,含有时,分,秒的电路设计,基于VHDL语言,用Quartus 2程序实现。-24-hour clock design process, with hour, minute, second circuit design, based on the VHDL language, using Quartus 2 program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-17
    • 文件大小:383172
    • 提供者:张苏昕
  1. EDAtest

    0下载:
  2. 关于数字钟的实现,用VHDL实现时,分,秒,的显示,并能报时-Digital clock on the realization of VHDL to achieve with hour, minute, seconds display, and time
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:170660
    • 提供者:dulianjie1
  1. jishiqi

    0下载:
  2. 24小时计时器,本计时器能够实现时分秒的精确计时(测试可用)-24-hour timer, the timer to achieve the precise time when minutes and seconds (test available)
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:1585
    • 提供者:matao
  1. EDA

    1下载:
  2. 以前学EDA的时候做过的四个小程序,分别是24/12小时制数字钟、数字频率计、乐曲播放电路、多人智力竞赛抢答器-EDA previously done when the four small procedures are 24/12 hour digital clock, digital frequency meter, circuit music players and many more devices quiz Answer
  3. 所属分类:Other systems

    • 发布日期:2017-03-25
    • 文件大小:461667
    • 提供者:王宇
  1. clock

    0下载:
  2. 本文档采用VHDL语言编写了一个数字时钟的程序,该数字时钟采用24小时制计时,可以实现整点报时,时间设置,闹钟等功能。最小分辨率为1秒。-VHDL language in this document using a digital clock to prepare the procedure, the digital clock 24-hour time system, you can bring the whole point of time, time settings, alarm clo
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:681184
    • 提供者:cindy
  1. VHDL

    0下载:
  2. (1)用VHDL语言编写程序,在EDA实验板上实现 (2)能正常计时。显示模式分为两种,即24小时制和12小时制。其中12小时制须显示上,下午(用指示灯显示)。时,分,秒都要显示。 (3). 手动校准电路。用一个功能选择按钮选择较时,分功能,用另一个按钮调校对应的时和分的数值。 用VHDL语言编写程序,在EDA实验板上实现 (4) 整点报时。 (5). 闹钟功能。 (6).秒表功能。-(1) using VHDL language program, in the EDA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:4061
    • 提供者:malon
  1. clock_counter

    0下载:
  2. 一个简易的时分秒自加计数器,没有设置功能-hour-minute-second counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1862
    • 提供者:Winson
  1. digital

    0下载:
  2. 多功能数字钟的VHDL源代码。多功能数字钟具有的功能:显示时-分-秒、整点报时、小时和分钟可调等基本功能。钟表的工作是在1Hz信号的作用下进行,每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时。-Multifunction digital clock VHDL source code. Multi-function digital clock with functions: display- minutes- seconds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1615
    • 提供者:王唐小菲
  1. zhangjun

    0下载:
  2. 用硬件描述语言实现数字钟的设计,实现正常计时,报整点时数,电台整点报时,12小时制与24小时制转换等功能。其中有代码和仿真结果-Using hardware descr iption languages digital clock design, implement the normal timing, the whole point, the number of newspaper, radio and the whole point timekeeping, 12-hour and 24-h
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:208000
    • 提供者:张军
  1. DigitalClock

    0下载:
  2. 基于FPGA的数字电子钟设计,系统总程序由分频模块、“时分秒”计数器模块、数据选择模块、报时模块、动态扫描显示和译码模块组成。得到一个将“时”、“分”、“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外有校时、校分和整点报时功能,并通过数码管驱动电路显示计时结果。-FPGA-based design of digital electronic clock, the system program by the total frequency modul
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:63477
    • 提供者:sunnan
  1. shuzizhong

    0下载:
  2. 该数字钟可以实现3个功能:计时功能、整点报时功能和重置时间功能-The digital clock can achieve three functions: timing function, reset the whole hour and time functions
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:2850
    • 提供者:唐慧
  1. VHDL-ALARM

    1下载:
  2. 要求设计一个带闹钟功能的24小时计时器 它包括以下几个组成部分: ① 显示屏:4个七段数码管显示当前时间(时:分)或设置的闹钟时间;一个发光二极管以1HZ的频率跳动,用于显示秒; ② 按键key1,用于设置调时还是调分; ③ 按键key2,用于输入新的时间或新的闹钟时间,每按下一次,时或分加1; ④ TIME(时间)键,用于确定新的时间设置; ⑤ ALARM(闹钟)键,用于确定新的闹钟时间设置,或显示已设置的闹钟时间; ⑥ 扬声器,在当前时钟时间与
  3. 所属分类:软件工程

    • 发布日期:2017-03-26
    • 文件大小:259321
    • 提供者:洪巨成
  1. VHDL-hour

    0下载:
  2. vhdl hour second minute
  3. 所属分类:Other systems

    • 发布日期:2017-12-05
    • 文件大小:1638
    • 提供者:ben
  1. VHDL

    0下载:
  2. 具有时、分、秒计数显示功能,以24小时循环计时,调节小时、分钟及清零的功能,整点报时功能。 -With hours, minutes, seconds count display features a 24-hour cycle time, to adjust the hours, minutes, and clear function, the whole point timekeeping function.
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:359424
    • 提供者:陈鲁
  1. VHDL-Multi-fuction-Clock

    0下载:
  2. 设计一个多功能数字钟,要求显示格式为小时-分钟-秒钟,整点报时,报时时间为10 秒,即从整点前10 秒钟开始进行报时提示,喇叭开始发声,直到过整点时,在整点前5 秒LED 开始闪烁,过整点后,停止闪烁。系统时钟选择时钟模块的10KHz,要得到1Hz 时钟信号,必须对系统时钟进行10,000次分频。调整时间的的按键用按键模块的S1 和S2,S1 调节小时,每按下一次,小时增加一个小时,S2 调整分钟,每按下一次,分钟增加一分钟。另外用S8 按键作为系统时钟复位,复位后全部显示00-00-00。-T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7658196
    • 提供者:冯雨娴
  1. shuzizhong

    0下载:
  2. (1)24小时计时显示(时分秒); (2)具有时间设置功能(时,分) ; (3)具有整点提示功能; (4)实现闹钟功能(定时,闹响);((1) 24 hour time display (time, minute, second); (2) have time setting function (time and minute); (3) it has the function of whole point. (4) realize the alarm clock function
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:4346880
    • 提供者:Goddd
« 12 3 »
搜珍网 www.dssz.com